loading...

23 de mayo de 2013

¿Puede EDA combatir el aumento de la falsificación electrónica?

Abstracto

Semiconductor Industry Associates (AIE) estima que la falsificación cuesta empresas de semiconductores de EE.UU. $ 7,5 mil millones en ingresos perdidos, y esto es realmente un problema mundial cada vez mayor. El reenvasado el antiguo CI, la venta de las piezas de pruebas fallidas, así como la comercialización gris, son las prácticas de falsificación más dominantes. ¿Puede la tecnología hacer un mejor trabajo que los abogados? ¿Cuáles son los desafíos técnicos que deben abordarse? ¿Qué tecnologías EDA trabajará: Incorporación de medidas de protección de la PI en la fase de diseño, el desarrollo de la certificación rápida post-silicio, o las herramientas y los métodos de detección de falsificaciones?
Introducción

Un producto falsificado (falso) es una falsificación ilegal o imitación de un diseño original. Las piezas falsificadas tienen la intención de engañar a los consumidores de manera fraudulenta haciéndose pasar por verdadera.Un informe de 2008 del Departamento de Comercio de EE.UU. estima que la falsificación de dar cuenta de aproximadamente el 8% del comercio mundial de mercancías, lo que equivale a la pérdida de ventas de hasta US $ 600 mil millones en 2008, y se espera que crezca a $ 1,2 billones en 2009 [30]. La falsificación de los componentes de microelectrónica, sistemas integrados y periféricos de ordenador es una práctica común en muchas partes del mundo.
Las estimaciones de las pérdidas de la falsificación de CI varían mucho dependiendo de la fuente. Una de las estimaciones más bajas se proporciona por SIA en $ 7,5 mil millones. Muy recientemente, EE Timesestimó que las pérdidas de la falsificación de CI son tan altas como 169 mil millones dólares al año. Por lo tanto, las partes falsos son al menos 2,5% de las ventas anuales de CI y son significativamente mayores que los ingresos globales de EDA por año. Fuentes de los productos falsificados son diversas, que van desde el reetiquetado y el uso de componentes defectuosos a exceso de construcción ilegal por los fabricantes. Los métodos de identificación de chips convencionales, tales como la impresión de los números de serie y la quema de fusibles, pueden ser falsificados y, por tanto, tienen una eficacia limitada en la prevención o detección de fichas falsificadas.

La falsificación es un problema particularmente importante abordar, ya que tiene por lo menos cuatro consecuencias importantes: 1) los proveedores originales piezas del IC incurrir en una pérdida irrecuperable debido a la venta de componentes falsificados a menudo más baratas, 2) bajo rendimiento de los productos falsificados (que suelen ser de menor calidad y / o más barato viejas generaciones de una familia de chips) afecta a la eficacia general de los sistemas integrados que utilizan involuntariamente ellos - esto podría, a su vez, dañar la reputación de los proveedores de auténticas, 3) falta de fiabilidad de los dispositivos falsos podrían hacer que el integrado sistemas que, sin saberlo utilizan las partes no fiables - esto afecta potencialmente el rendimiento de las armas, aviones, automóviles, u otras aplicaciones cruciales que utilizan los componentes falsificados [36], y 4) los componentes falsificados son de confianza pueden tener malware intencional o algunas puertas traseras para espiar información o el control remoto de objetos críticos.

Las crecientes tendencias en la falsificación de chips y sus importantes consecuencias motivan claramente la necesidad urgente para el desarrollo de técnicas avanzadas de lucha contra la falsificación del CI. En este trabajo, se discute el estado actual de la IC la práctica contra la falsificación y la investigación, los retos técnicos, y algunas posibles direcciones de investigación y desarrollo de EDA para abordar los problemas abiertos.

Prácticas y lucha contra la falsificación actuales

Componentes electrónicos falsos han sido un problema conocido desde los primeros días de diseño de circuitos integrados y la fabricación. Las empresas del CI y las organizaciones gubernamentales han estado al tanto de los incidentes ocasionales falsificados. Por lo tanto, un conjunto preliminar de directrices y procedimientos jurídicos suelen ser en su lugar para el manejo de los temas falsificados. El aumento del crecimiento del número de piezas falsificadas y el riesgo potencial ascendente de exploits han planteado recientemente la conciencia de este problema frecuente.En noviembre de 2011, el Comité de Servicios Armados del Senado de EE.UU. celebró una audiencia para tratar el creciente problema de la falsificación de piezas de la cadena de suministros militares EE.UU.. El senador John McCain, de Arizona, republicano de mayor rango del Comité, y el senador Carl Levin de Michigan, presidente del comité, fueron algunos de los funcionarios que investigaron los contratistas de defensa por el creciente número de falsificaciones detectadas en la cadena de suministro. Los dos senadores han utilizado la Ley de Autorización de Defensa de 2012 para modificar las pautas de adquisición y hacer que los contratistas responsables de los gastos de la sustitución de los componentes falsificados.

Hasta ahora, sólo un puñado de laboratorios industriales / investigación y agencias gubernamentales / militares y contratistas tienen un conjunto de procedimientos más técnicos para la prevención y detección de la falsificación electrónica, que a menudo se clasifican. En el resto de esta sección, resumimos brevemente cuatro grandes industriales, laboratorio de investigación, el gobierno y los enfoques de lucha contra la falsificación de los organismos de defensa ", y las iniciativas.

El laboratorio Armada define las piezas falsificadas en dos grandes categorías: las nuevas piezas que se distorsionaron y piezas antiguas que se venden como nuevos. Uno puede imaginar la capacidad de prueba y métodos de identificación integradas y cuantificarlos en términos de practicidad, el costo y la accesibilidad más allá de las pruebas de producción de la fábrica. El principal desafío consiste en desarrollar métodos para a prueba funcional velocidad y caracterización paramétrica disponible y de fácil implementación para la prueba de la no-fábrica Zarandas, así como métodos para una mejor detección de envejecimiento y otros usos / presiones indebidas de manejo inducidos en partes. Se puede señalar que existen estos desafíos para la televisión digital, de señal mixta, y las partes analógicas. Es importante identificar peligros desde un punto de vista de seguridad de permitir al usuario final una mayor comprensión de la IC a través de la capacidad de prueba.

Un camino diferente es tomado por Analytical Solutions, Inc., una compañía independiente que proporciona análisis de dispositivos electrónicos complejos relacionados con comerciales, militares, médicos, seguridad y aplicaciones espaciales [38]. La empresa ofrece un "enfoque de cinco Tier" de detección de billetes falsos para satisfacer las necesidades específicas del cliente, que se resumen en la Tabla 1. Este método de Nivel Cinco ofrece a los clientes las opciones que pueden ser necesarias para evaluar y validar que la pieza o dispositivo electrónico no es un producto falsificado y ofrecer a los usuarios la confianza necesaria en la producción o fabricación de productos de alta fiabilidad.

 


 

Tabla 1: "Cinco enfoque de Nivel" para detección de billetes falsos. (
Los investigadores de IBM han desarrollado una nueva técnica para la detección de alteraciones de chips que utilizan la emisión de luz intrínseca en combinación con pruebas eléctricas. Este método se basa en el hecho de que cualquier dispositivo activo emite emisión de luz infrarroja cuando está encendido o de funcionamiento. Detectores de fotones de alta sensibilidad se pueden emplear para capturar la emisión débil mientras que el chip bajo evaluación está encendido y estímulos eléctricos se aplican a él. En particular, dos familias principales de los modos de pruebas eléctricas, estáticas y dinámicas, se pueden aplicar.Se ha demostrado que la combinación de los diagnósticos ópticos y pruebas eléctricas aumenta significativamente la detectabilidad de los circuitos maliciosos. La herramienta óptica de elección que se utiliza para este método es el análisis de formación de imágenes de circuito picosegundo (PICA), desarrollado originalmente para el diagnóstico de fallos críticos en el tiempo IC. Esta herramienta puede medir resuelta en el tiempo de emisión de las puertas de conmutación, así como integrado en el tiempo y resuelta en el tiempo de las puertas en el estado de lógica fija, también conocida como la emisión de luz a partir de la corriente de fuga de estado desactivado (LEOSLC). El planteamiento dio lugar a muchos resultados positivos, incluyendo el procesamiento de imágenes de alta resolución espacial y la interpretación de datos.

IC lucha contra la falsificación ha sido bien reconocido por DARPA como estratégicamente importante y una tecnología necesaria. Por ejemplo, la medición de hardware activo original para IC prevención piratería y la falsificación fue apoyado por una DARPA / objetivo a medio plazo del programa [1]. Como otro ejemplo, los objetivos del curso DARPA / MTO Integridad y Confiabilidad de los Circuitos Integrados (IRIS) del programa es desarrollar la tecnología necesaria para obtener la funcionalidad de un IC para determinar sin ambigüedad si se han hecho modificaciones maliciosas para que la CI, y con precisión determinar la vida útil y la fiabilidad de la IC desde una perspectiva física [39]. Si bien el programa IRIS se encuentra todavía en una fase inicial, se espera que proporcione nuevos resultados de la investigación y avanzar en el estado-of-the-art de confianza IC y las técnicas de lucha contra la falsificación.

 

Retos de Investigación

Desarrollo de las técnicas más nuevas y más eficaces de lucha contra la falsificación de CI es sumamente interesante desde el punto de vista científico y de ingeniería. Hay una serie de tareas desafiantes que no requieren simplemente la implementación, diseño, algoritmos, modelos e innovaciones, sino también los avances conceptuales que se pueden beneficiar en gran medida las futuras generaciones de circuitos integrados. Esta dificultad y la riqueza es una consecuencia de la confluencia de varios tecnológica, la seguridad, y los aspectos de diseño, incluyendo:

  • Ultra gran escala de integración. Los microprocesadores modernos y futuros tienen un sorprendente número de subcomponentes, en el orden de miles de millones. El fallo o degradación del rendimiento de cada subcomponente puede resultar en un fallo del sistema en general. A pesar de la necesidad de considerar simultáneamente tantos componentes es un reto no trivial, puede en algunas situaciones facilitar la detección de la falsificación. Por ejemplo, para mostrar que se utilizó ya significativamente un chip, es suficiente para verificar que se utilizó considerablemente cualquier parte del chip.
  • Controlabilidad y observabilidad limitada. Es bien sabido que la relación del número de transistores en comparación con el número de entradas / salidas ha sido continuamente aumentando con el tiempo. Por ejemplo, esta proporción fue de alrededor de un centenar de las primeras generaciones de procesadores, mientras que es más de un millón de procesadores contemporáneos. Por lo tanto, es cada vez más difícil de organizar cualquier tipo de prueba en circuitos integrados modernos.
  • Identificación y subcomponentes para acceder a system-on-a-chip (SoC). En caso de que un SoC complejo con múltiples subcomponentes se está investigando, se necesitan por lo general las investigaciones ópticas para identificar y acceder a las subpartes a ensayar individualmente. Más modernos diseños SoC se empaquetan utilizando la tecnología flip-chip y un difusor de calor que tiene que ser eliminado debido a que las evaluaciones ópticas. Hay una necesidad de desarrollar métodos ópticos más avanzados que no sólo identifican las subpartes IP, pero también se puede clasificar el tipo de IP (por ejemplo, RF front-end, A2D, memoria, etc) y encontrar los puertos de prueba y analizar las cadenas de cada subcomponente de una manera automatizada.
  • Identificación funcional y la ingeniería inversa. El tiempo de vida efectivo de un avión o un tanque puede ocupar varias décadas, mientras que el promedio de vida de los componentes electrónicos subyacentes es típicamente mucho más corto. Por lo tanto, para mantener el costoso equipo o sistemas de automoción / aviónica, fracasaron electrónica deben ser reemplazados. Un antiguo problema es la falta de suficiente documentación / descripción de los componentes defectuosos. Esto puede ser debido a las largas cadenas de suministro, o parte obsolescencia. En lugar de esta información, se debe realizar ingeniería inversa del objetivo fracasó componente con otras instancias de trabajo. Desarrollo de métodos y herramientas automatizadas para la identificación funcional y la ingeniería inversa IC es un importante reto para la investigación.
  • La naturaleza interdisciplinaria de los problemas. Lucha contra la falsificación requiere conocimientos y habilidades en varios campos, incluyendo la tecnología IC, diseño, EDA, las pruebas, la seguridad, el análisis estadístico y la teoría de juegos. Por lo tanto, se requieren esfuerzos educativos continuos.
  • Variedad de (impredecible) ataques. A menudo no es fácil desarrollar defensas racionales, integrales y prácticas contra los ataques conocidos. La situación se vuelve mucho más difícil cuando los ataques son difíciles de predecir.
  • Proceso de variación y envejecimiento dispositivo. A pesar de los aspectos de seguridad son, obviamente, el más desafiante y difícil de tratar, la historia reciente nos enseña que la variación del proceso de envejecimiento y los modelos de dispositivos son fundamentales para la investigación y los estudios de eficacia. Por un lado, permiten el desarrollo de conceptualmente nuevos mecanismos de seguridad tales como funciones unclonable físicas (PUF). Por otro lado, también se invalidan directamente numerosos enfoques de seguridad de hardware que asumen UNFORM características temporales y espaciales de elementos similares a través de un chip. Por suerte, en cuanto al desarrollo de nuevas técnicas de lucha contra la falsificación, tales imperfecciones pueden ser esenciales y positivos.

Además, hay un gran espectro de la falsificación IC y problemas de anti-falsificación. Están relacionados con las cuestiones relativas a los contratos entre un vendedor de IC y el comprador y el cumplimiento de todos los acuerdos contractuales, incluidas las que pueden no estar explícitamente. Actualmente hazañas populares incluyen reventa Circuitos viejos, vendiendo chips de rendimiento más bajos como un modelo de mayor rendimiento, y venta de circuitos integrados probados o defectuoso [30].

Trabajos relacionados

En esta sección, se resumen brevemente los conceptos relacionados que influyen directamente en la investigación y desarrollo de circuitos integrados avanzados métodos de lucha contra la falsificación. Una línea estrechamente relacionado de investigación se centra en la detección de troyanos IC, tales métodos son excepcionalmente relevantes cuando los componentes falsificados introducen un exploit en el sistema. Por razones de espacio, no hablamos de detección de troyanos y la prevención en el presente documento. En su lugar, nos referimos a los lectores interesados ​​a un estudio exhaustivo sobre este tema [28].

Watermarking IP

Una marca de agua incrusta una firma oculta en el chip en el tiempo de diseño [3, 29]. La firma se comprueba con sus atributos destinados para la verificación de la autenticidad. Marca de agua en diferentes niveles de abstracción diseño es útil y necesario, en particular para diseños con múltiples direcciones IP en el seguimiento de infracción de propiedad intelectual es una tarea difícil. Una marca de agua puede identificar un diseño, y las instancias de IC no individuales. Puede llegar a ser útil para el seguimiento de IPs diseño robadas en la cadena de suministro.

Medición Hardware y Auditoría

Medición IC o la medición de hardware se refiere a las herramientas, metodologías y protocolos que permiten el seguimiento posterior a la fabricación de los circuitos integrados. Medición puede diferenciar hardware legítimo de los piratas. Los esfuerzos de investigación se han centrado en cómo generar un identificador único para un dispositivo específico. Hardware de medición puede ser pasiva o activa. En la medición pasiva, se detallan específicamente los circuitos integrados, ya sea en términos de su funcionalidad, o por otras formas de identificación única [4].

Los circuitos integrados identificados pueden ser emparejados en contra de su registro en una base de datos de pre-formada que podría revelar circuitos integrados no registrados o ICS overbuilt (en caso de colisiones).En la medición activa, no sólo a los circuitos integrados se identifican de forma única, sino también partes de la funcionalidad del chip sólo pueden ser accedidos, bloqueados (inhabilitados) o desbloqueado (habilitado) por el autor y / o propietarios de los derechos de propiedad intelectual mediante un conocimiento de alto nivel de la El diseño no transferidos a la fundición [1].

Métodos de medición también pueden ser clasificados como intrínsecos o extrínsecos: 1) de medición de hardware intrínseca aprovecha la variación del proceso para crear huellas digitales únicas mediante el uso de las propiedades existentes o canales laterales del dispositivo, tales como el retardo y la potencia. Se han propuesto varios enfoques para caracterizar las propiedades de CI a nivel de puertas para el propósito de hardware de medición [5, 6, 7, 8]. Métodos de medición intrínsecos son inherentemente pasiva, y 2) extrínseca hardware de medición insertos adicionales de hardware o componentes de software en el dispositivo para la generación de ID [2, 4]. Los componentes adicionales se pueden configurar para producir un único, difícil de predecir o clonar de huellas digitales para cada dispositivo auténtica. Métodos de medición extrínsecos pueden ser pasivos o activos.

No es una forma natural para establecer una conexión entre el hardware de medición y técnicas de lucha contra la falsificación de CI. La observación simple pero potente es que una vez que un chip se identifica mediante las técnicas de medición de hardware, a continuación, pueden ser contactados las fuentes fiables de fundición o de otro tipo para obtener más información acerca de la IC tales como la fecha de fabricación y el comprador original de.

Funciones unclonable física (PUF)

Funciones unclonable física (PUF) son una potencial estrechez candidato para la aplicación de los identificadores únicos IC extrínsecos. Una PUF es una función física que proporciona una correspondencia entre sus entradas y salidas sobre la base de las fluctuaciones únicos en las propiedades del material del dispositivo unclonable tales como el tiempo o la corriente. El vector de entrada PUF típicamente se llama un desafío y el vector de salida PUF se llama comúnmente una respuesta. Para garantizar la seguridad, la asignación debe ser tal que las respuestas pueden ser evaluados rápidamente, pero son difíciles de modelar, caracterizar, clonar o reproducir. Se han propuesto PUF para ambos ASIC y FPGA [22, 23, 26, 37, 27]. Resúmenes completos y más detallados definición / clasificación de PUFs se pueden encontrar en [31, 32]. Muy poco se sabe acerca de las prácticas industriales de identificación de chip auténtico. Un enfoque de Sun Microsystems se propuso en el que usan la única radiación EM de cada chip para determinar su autenticidad [24].

Dispositivos Modelos envejecimiento

Los fenómenos naturales tales como inestabilidad de la temperatura sesgo negativo (NBTI) causan el envejecimiento de los dispositivos en forma de aumento de la tensión umbral. Como resultado, las propiedades estructurales del IC tales como retraso y la potencia se verían afectadas de manera significativa, lo que causa la degradación en la vida útil del dispositivo. Con el fin de evaluar y predecir el aumento de tensiones de umbral y, por consiguiente, el tiempo de fallo, se han propuesto varios modelos cuantitativos.

Debido al aumento de las tensiones de umbral, el proceso de envejecimiento afecta significativamente las propiedades estructurales de IC. Por ejemplo, la energía de fugas de una puerta lógica disminuye exponencialmente con el aumento de la tensión de umbral, como se indica por el modelo de corriente de fuga [10]:

 

Degradación Device

Curso de la vida del IC está influenciada por una variedad de fenómenos que han sido estudiados por la ciencia de los materiales y las comunidades de semiconductores, incluyendo la electromigración (EM) [11], la migración estrés (SM) [12], el tiempo de ruptura dieléctrica dependiente (TDDB) [13], ciclo térmico (TC) [14], la ruptura de óxido [9], el acceso de interconexión vertical (IVAA) [15, 16], inestabilidad de la temperatura sesgo negativo (NBTI) [17], y la inyección (HCI) [18, 19 hot-carrier ].

Electromigración es el transporte de material de interconexión (cobre en diseños modernos) debido a corrientes eléctricas de alta densidad, es decir, el movimiento de los iones que altera la conductividad de una interconexión. Las ramificaciones incluyen desconexión física de cables o el fracaso de la IC general para funcionar correctamente debido al aumento en retardos de los conectores. Termomigración estrecha relación tiene un alto impacto en la fiabilidad de vías, en particular en las nuevas tecnologías que no utilizan plomo (Pb). Termomigración mueve material metálico debido al gradiente térmico subyacente. Termomigración también está relacionado con la migración de estrés donde la diferencia de temperatura crea estrés termo-mecánica debido a las diferentes tasas de expansión de diversos materiales en el IC.

Ruptura dieléctrica es un proceso en el dieléctrico alrededor de los cables desarrolla grietas que cambian drásticamente sus propiedades dieléctricas en la medida en que no sirve como un aislador más. La migración estrés es un fenómeno en el que los átomos de metal en las interconexiones migran debido a la tensión mecánica, al igual que la electromigración. La migración estrés es causado por las tensiones termo-mecánicas que son causadas por diferentes tasas de expansión térmica de los diferentes materiales en el dispositivo. El ciclo térmico es un fenómeno en el que la temperatura de un IC o de sus partes está sujeta a los cambios de alta y rápida. Causa daños permanentes que se acumulan cada vez que hay un ciclo en la temperatura del procesador, llevando eventualmente a fallos.

Sensores envejecimiento

Supervisión del rendimiento-degradación precisa de los circuitos CMOS es uno de los problemas más críticos para las técnicas de diseño de adaptación. Por lo tanto, además de modelado y estudios de envejecimiento, el envejecimiento de los sensores en el chip adicionales se pueden implementar para controlar e informar el envejecimiento y la fiabilidad. Debido a la creciente importancia de este tema, recientemente se han propuesto varios métodos para la realización de sensores en el chip de envejecimiento, incluyendo [33, 34, 35]: [33] propone una técnica para medir la frecuencia de batido de dos osciladores de anillo, uno estresado y el otro sin estrés, a una resolución de detección muy elevada demora para la diferenciación de envejecimiento; [34] introdujeron dos estructuras compactas para cuantificar digitalmente el cambio en el rendimiento y la potencia de los dispositivos sometidos NBTI y la descomposición de óxido de defectos inducidos. El pequeño tamaño de los sensores de los hace susceptibles de utilizar en un diseño de celda estándar con baja área y los gastos generales de energía; [35] desplegaron un detector de voltaje de umbral para el seguimiento de la degradación del rendimiento de un MOSFET de edad. El desarrollo de métodos de detección más sofisticados con una resolución más alta diferenciación de envejecimiento puede avanzar directamente a las técnicas de lucha contra la falsificación del estado de la técnica. La investigación EDA es relevante para encontrar la mejor colocación de cada tipo de sensor en el chip para maximizar la cobertura de detección de la edad y reducir al mínimo el sensor de sobrecarga / coste global.

Fallas del Sistema

Fallo del sistema se ha convertido en una preocupación importante en el diseño del sistema hardwarebased, especialmente con el rápido crecimiento de las tecnologías de nanoescala que el poder y la temperatura aumentan significativamente debido a la escala de transistores. Por lo tanto, la predicción y evaluación de fallo del sistema ha atraído una gran atención por parte de las dos comunidades de la industria y académicas. Srinivasan et al. [20] introdujo un microprocesador (RAMP) modelo de diseño-conscientes fiabilidad para predecir y evaluar el tiempo medio hasta el fallo resultante de diferentes componentes del sistema, tales como las aplicaciones, arquitecturas de sistema, y ​​los diseños de procesador. Se amplió aún más el modelo de la rampa para evaluar el fallo del sistema causada por la ampliación de la tecnología. En particular, las estimaciones de los tiempos medios hasta el fallo (MTTF) de dispositivos debido a diversos fenómenos de envejecimiento se pueden encontrar en [20].

IC Técnicas de Lucha contra la falsificación: Estudios de caso

Nuestro objetivo en esta sección es proporcionar un nuevo impulso para el desarrollo de técnicas de automatización y herramientas de diseño de técnicas de lucha contra la falsificación. Aunque no hablamos de una presentación detallada de cualquiera de las técnicas propuestas y no muestran pruebas de seguridad, creemos que los lectores encontrarán las ideas no triviales falsificación IC y puntos de partida para el desarrollo de métodos de fuerza industrial prácticos y fundamentalmente sólida.

Técnicas de Caracterización Circuito Integrado Edad

La tensión de umbral de cada transistor CMOS es una función del número de dopantes inyectados durante la fabricación y el número de enlaces que se rompen durante el funcionamiento del CI. El primer componente está sujeto a la variación del proceso y esencialmente sigue una distribución exponencial. El nivel de correlación espacial es sumamente bajo en la medida en que las tensiones de umbral de diferentes transistores pueden considerarse independientes para cualquier par de transistores. El envejecimiento de los transistores PMOS es debido a la estructura química y es en un orden de magnitud más rápido que el envejecimiento de sus homólogos NMOS. Hay tres observaciones importantes. El primero es que el envejecimiento dispositivo es recuperable en un grado significativo, pero no completamente: Cuando un transistor no está bajo tensión o bajo estrés es sólo para un pequeño porcentaje de tiempo, su voltaje de umbral se reduce a un ritmo exponencial decreciente. La segunda es que un transistor edades cuando está bajo estrés, es decir, cuando su canal actúa como un interruptor abierto. La tercera pieza de información importante es que, aunque existen técnicas para la extracción de la tensión de umbral de [8], tal extracción es un procedimiento lento y costoso, a menos que se limita a los relativamente pocos transistores.

Una cuestión especialmente importante es si un chip es esencialmente nuevo o se ha utilizado para una cantidad significativa de tiempo. Si se utiliza, la distribución de las variaciones de umbral sería lejos de los modelos de fundición esperados. Métodos de caracterización de IC no invasivas se pueden utilizar para determinar la distribución de post-silicio y las correlaciones entre los voltajes de umbral. Los métodos de detección de valores atípicos estadísticos pueden determinar si las distribuciones o correlaciones medidos difieren mucho de las características esperadas de nuevos chips.

iseño para la Detección de Falsificaciones (DCD)

En las pruebas y los campos de investigación y desarrollo relacionados con las pruebas y, es una práctica habitual que después de cada éxito en el desarrollo de un conjunto de técnicas que utilizan nuevas tecnologías o nuevas ideas conceptuales, la siguiente fase es el desarrollo de enfoques que incorporan estos mecanismos en el flujo de diseño . Esperamos que un importante aumento de la importancia práctica de las técnicas de lucha contra la falsificación estará a cargo de la necesidad de la gestión de los chips que utilizan ultra-altos niveles de integración. Corte CI borde ya se han acercado a unos 10 millones de transistores por chip, es poco realista esperar altos rendimientos y tiempos de vida que se espera largos. Por ejemplo, uno puede imaginar fácilmente que serán necesarios algunos tipos de mantenimiento, al menos en forma de ajuste ocasional de cuerpo de avance y retroceso de desviación, para compensar el envejecimiento dispositivo o temperaturas operativas elevadas. Por lo tanto, esperamos que los enfoques DCD compartirán las técnicas y recursos con la caracterización IC y métodos de mantenimiento.

Un excelente ejemplo de una de estas técnicas de mantenimiento ha sido desarrollado por el grupo de investigación de Mitra en Stanford [25]. Ellos han desarrollado un método de bajo costo para medir el envejecimiento del dispositivo y por lo tanto, la desaceleración de las puertas en los caminos críticos de un IC. Este enfoque también es importante, ya que utiliza mediciones de tiempo sólo diferenciales e induce muy baja hardware y los gastos energéticos. Es relativamente fácil para volver a apuntar primitivas arquitectónicas y las mediciones para la detección de chips de edad, usando una de las técnicas descritas en la subsección anterior.

Conclusión

La falsificación de los componentes electrónicos es un creciente negocio ilegal con importantes consecuencias económicas, militares, gubernamentales e industriales. El reenvasado el antiguo CI, la venta de las piezas de pruebas fallidas, así como la comercialización gris, son las prácticas de falsificación más dominantes. Sorprendentemente, aunque últimamente muchos aspectos de la seguridad relacionados con el hardware han sido ampliamente estudiados, ha habido muy poca investigación falsificación IC. Creación de técnicas de lucha contra la falsificación IC plantea numerosos y diversos desafíos, mientras que también tiene el potencial para hacer frente a un espectro de diseño importante IC, la gestión, y los problemas de mantenimiento.

Hemos analizado los ataques de falsificación de CI más populares del momento e identificado los más importantes IC desiderata lucha contra la falsificación. Para hacer el trabajo autónomo, se presentaron las tecnologías relacionadas más relevantes. El punto culminante técnica del papel son viñetas de dos enfoques para la lucha contra la falsificación IC utilizando técnicas de EDA y un breve resumen de los métodos industriales y gubernamentales disponibles actualmente.

Referencias:

1. Y. Alkabani y F. Koushanfar, "Medición Hardware Activa para la Protección de la Propiedad Intelectual y la Seguridad", USENIX de Seguridad, pp 291-306, 2007.
2. A. Caldwell et al., "Eficaz las técnicas de fingerprinting diseño IP iterativo," IEEE T-CAD, vol. 23, no. 2, pp 208-215, 2004.
3. A. Kahng et "La detección de copia para la protección de la propiedad intelectual de los diseños VLSI," ICCAD, pp 600-604, 1999. Al.,
4. F. Koushanfar, G. P. y M. Potkonjak, "La medición de la propiedad intelectual", IH, pp 81-95, 2001.
5. F. Koushanfar y M. Potkonjak, "seguridad basado en CAD, criptografía y gestión de derechos digitales", DAC, pp 268-269, 2007.
6. . Y. Alkabani et al, "confianza circuitos integrados: un enfoque de extracción de características ocultas no destructiva", IH, pp 102-117, 2008.
7. S. Wei, S. Meguerdichian y M. Potkonjak, "Caracterización a nivel de puertas: fundamentos y aplicaciones de seguridad de hardware", DAC, pp 222-227, 2010.
8. S. Wei, A. Nahapetian y Potkonjak M., "La medición de hardware robusto pasiva", ICCAD, pp 802-809, 2011.
9. R. Chau et al., "Pila High-k/metal-gate y sus características MOSFET," IEEE EDL, vol. 25, n º 6, pp.408-410, 2004.
10. D. Markovic et "diseño ultra bajo de energía en la región cercana al límite", Proc.,. del IEEE, vol. 98, no. 2, pp 237-252, 2010.
11. J. Negro, "Electromigración - una breve encuesta y algunos resultados recientes," IEEE T-ED, vol. 16, no. 4, pp 338-347, 1969.
12. A. Sekiguchi, J. Koike y K. Maruyama, "influencias microestructurales sobre migración estrés en metalización de cobre electrolítico," Appl.Phys. Lett ., v ol. 83, no. 10, pp 1962-1964, 2003.
13. "Los modelos físicos y predictivo de fiabilidad ultrafina de óxido de dispositivos y circuitos CMOS," J. Stathis, IEEE T-DMR, vol. 1, no. 1, pp 43-59, 2001.
14. J. Pang, D. Chong y T. Baja ", el análisis de ciclo térmico de flip-chip fiabilidad unión de soldadura," IEEE T-CPMT, vol. 24, no. 4, pp 705-712, 2001.
15. K. Mistry et "Una tecnología de 45nm lógica con high-k + transistores de puerta de metal, silicio rígido, 9 capas de interconexión de cobre, de modelado seca 193 nm y embalaje 100% libre de plomo", IEDM, pp 247-250 al.,, 2007.
16. R. Havemann y J. Hutchby, "alto rendimiento interconexiones: una visión de integración", Proc. del IEEE, vol. 89, no. 5, pp 586-601, 2001.
17. S. Bhardwaj et al., "Modelado predictivo del efecto NBTI para el diseño confiable", CCPI pp 189-192, 2006.
18. E. Takeda y N. Suzuki, "Un modelo empírico para la degradación dispositivo debido a la inyección en caliente portador," IEEE EDL, vol. 4, no. 4, pp 111-113, 1983.
19. P. Heremans et al., "Modelo coherente para la degradación caliente-portadora en n-canal y los MOSFETs de canal p," IEEE T-ED, vol. 35, no.12, pp 2194-2209, 1988.
20. J. Srinivasan et al., "El caso para la conciencia de la microarquitectura de la fiabilidad de por vida", ISCA, 2004.

21. EY Wu et al., "Interacción de tensión y la aceleración de temperatura de descomposición de óxido de dióxidos puerta ultrafinas," Solid-State Electrónica Journal , 2002.
22. B. Gassend et al., "Funciones aleatorias físicas silicio", ACM CCS, pp 148-160, 2002.
23. M. Majzoobi, F. Koushanfar y M. Potkonjak, "Técnicas para el diseño e implementación de PUF reconfigurables seguras", ACM T-RETS, vol. 2, no. 1, pp 1-33, 2009.
24. K. Gross, RC Dhankula y AJ Lewis, "La detección de componentes electrónicos falsos utilizando EMI telemétricos huellas digitales", la solicitud de patente EE.UU. EE.UU. 2009/009830 A1, 2009.
25. M. Agarwal et "predicción de fallos de circuito y su aplicación al transistor envejecimiento", IEEE VTS, pp 277-286, 2007. Col.,
26. N. y M. Beckmann Potkonjak, "criptografía basada en hardware de clave pública con funciones públicas físicamente unclonable," IH, pp 206-220, 2009.
27. M. Potkonjak, S. Meguerdichian y A. Nahapetian, "públicas diferenciales funciones físicamente unclonable: arquitectura y aplicaciones," DAC, pp 242-247, 2011.
28. M. Tehranipoor y F. Koushanfar, "Una encuesta de hardware taxonomía de Troya y la detección", IEEE D & T de Computadoras, vol.27, no. 1, pp 10-25, 2010.
29. G. P. y M. Potkonjak, "Protección de la Propiedad Intelectual en VLSI Design", Kluwer Academic Publisher , 2003.
30. Informe técnico del Departamento de Comercio de EE.UU., Oficina de Industria y Seguridad, la Oficina de Evaluación de Tecnología, "Defensa industrial evaluación básica: artículos electrónicos falsificados", 2010.
31. U. Ruhrmair, S. Devadas y F. Koushanfar, "Seguridad basada en Unclonability Física y el trastorno", capítulo de un libro de "Introducción a la Seguridad de Hardware and Trust," Editores: M. Tehranipoor y C. Wang, Springer, 2011.
32 . F. Armknecht, R. Maes, A.-R. Sadeghi, F.-X. Standaert y C. Wachsmann, "A la formalización de las características de seguridad de las funciones físicas," IEEE S & P, pp 397-412, 2011.
33. T. Kim, R. Persaud y CH Kim, "Silicon cuentakilómetros: Un monitor de confiabilidad en el chip para medir la degradación de la frecuencia de los circuitos digitales," IEEE JSSC, vol. 43, no. 4, pp 874-880, 2008.
34. Karl E. et al., "Sensores in situ compactos para vigilar negativo efecto de sesgo de temperatura inestabilidad y degradación del óxido", ISSCC, pp 410-411, 2008.
35. KK Kim, W. Wang, y K. Choi, "circuitos de sensores on-chip de envejecimiento de nanómetros circuitos digitales MOSFET confiables," T-CAS-II, vol.57, no. 10, pp.798-802, 2010.
36. F. Koushanfar, AR. Sadeghi y H. Seudie, "EDA para cibercoches seguro y fiable: Desafíos y Oportunidades" del CAD, 2012.
37. M. Majzoobi y F. Koushanfar, "Autenticación de tiempo acotado de FPGAs," IEEEE T-IFS, vol. 6, no. 3, pp 1123-1135, 2011.
38. Analytical Solutions Inc., www.asinm.com/ .
39. DARPA Oficina de Tecnología de Microsistemas,www.darpa.mil/OurWork/MTO/ . Este trabajo fue presentado originalmente en la 49 ª Conferencia de automatización de diseño en San Francisco, California.

http://www.milaero007.com

No hay comentarios:

Publicar un comentario